Дипломная работа: Разработка устройства идентификации близлежащих объектов для автомобиля

Название: Разработка устройства идентификации близлежащих объектов для автомобиля
Раздел: Рефераты по коммуникации и связи
Тип: дипломная работа

Министерство образования Республики Беларусь

Учреждение образования Гомельский государственный дорожно-строительный колледж имени Ленинского комсомола Белоруссии

Пояснительная записка дипломного проекта:

Разработка устройства идентификации близлежащих объектов для автомобиля

Выполнил:

Учащийся-дипломник

группы ЭВС-41: Котлярчук Ю.А.

Руководитель: Аксёнова А.В.

Консультант по экономическому: Исакович О.В.

Специальность 2-400202: Электронные вычислительные средства

Гомель 2008


Содержание

Введение

1 Расчетно-проектировочный раздел

1.1 Назначение и область применения

1.2 Разработка структурной схемы

1.3 Разработка принципиальной схемы

1.3.1 Расчет узлов и блоков

1.3.2 Выбор элементной базы

1.3.3 Описание принципа действия

1.3.4 Расчет потребляемой мощности

1.4 Разработка блока (системы) электропитания

2 Конструкторско-технологический раздел

2.1 Разработка печатной платы

2.2 Компоновка устройства

2.3 Поиск и устранение неисправностей

3 Экономический раздел

4 Охрана труда

5 Охрана окружающей среды

Заключение

Литература


Введение

Дипломное проектирование – заключительный этап обучения учащихся технических специальностей в учреждении образования «Гомельский государственный дорожно-строительный колледж имени Ленинского комсомола Белоруссии», который имеет своей целью:

1. Систематизацию, закрепление, расширение теоретических знаний и практических навыков и применение их для решения конкретных профессиональных задач;

2. Овладение методикой проектирования, формирование навыков самостоятельной проектно-конструкторской работы;

3. Приобретение навыков обобщения и анализа результатов, полученных другими рахраюотчиками или исследователями;

4. Выявление уровня подготовленности учащихся для самостоятельной работы на производстве, в проектных организациях и учреждениях.

В соответствии с заданием на дипломный проект мне необходимо разработать устройство идентификации близлежащих объектов для автомобиля. Устройство должно идентифицировать наличие определённых предметов около автомобиля и выводить на ЖКИ полученную информацию, для чего используется микропроцессор MSP430F413.


1. Расчетно-проектировочный раздел

1.1 Назначение и области применения

Тема моего дипломного проекта - «Разработка устройства идентификации близлежащих объектов для автомобиля ». Двигаясь задним ходом, водитель автомобиля не может видеть определённую зону дорожного пространства, это может создавать определённую опасность дорожного движения. Такая зона имеет протяжённость до двух метров, и в ней могут оказаться животные или люди, а также предметы, представляющие собой помеху для движения. Достижения современной техники позволяют создавать специальные устройства для обзора указанного пространства и информирования водителя в случае, если на пути автомобиля встречаются какие-либо объекты. Наиболее оптимально такая задача решается с помощью импульсной акустической локации.

Устройство идентифицирует наличие объектов в зоне покрытия ультразвукового датчика, вычисляет расстояние до ближайшего из них и передаёт полученную информацию водителю автомобиля. Это в свою очередь позволяет водителю принять оптимально необходимые действия для разрешения сложившейся ситуации.

Применяются данные устройства в автомобилях, хотя при соответствующей доработке программы и конструкции его можно использовать в качестве незаменимого помощника для слепых, устройств охраны помещений, портативного эхолота рыболова-любителя, бесконтактного индикатора уровня жидкости и т.п.

1.2 Разработка структурной схемы

Проектирование сложного электронного устройства начинается с разработки его структурной схемы.

Структурной называется схема, которая определяет основные функциональные части изделия и связи между ними. Структурная схема должна показывать, из каких функциональных блоков состоит электронное устройство и каким образом эти блоки взаимодействуют между собой.

Составные части проектируемого устройства изображаются упрощенно в виде прямоугольников произвольной формы, т. е. с применением условно-графических обозначений. Внутри каждого прямоугольника, функционального узла устройства, указаны наименования, которые очень кратко описывают предназначение конкретного блока. Структурная схема разрабатываемого устройства показана на рисунке 1.2.1.

Рис.1.2.1 - Структурная схема разрабатываемого устройства


Структурная схема разрабатываемого устройства состоит из следующих блоков:

- микропроцессор(МК);

- жидкокристаллический индикатор(ЖКИ);

- генератор частоты(ГЧ);

- согласующий каскад;

- выходной драйвер раскачки;

- ультразвуковой излучатель(УЗ-излучатель);

- ультразвуковой приёмник(УЗ-приёмник);

- усилитель;

- интерфейс JTAG.

- Блок «МП» предназначен для программного управления функциональными блоками, осуществляет отправку двенадцати 40-килогерцовых импульсов на «УЗ-излучатель», принимает полученный от «УЗ-приёмника» сигнал, обрабатывает его, вычисляя расстояние до объекта, и также выводит информацию на «ЖКИ» благодаря имеющемуся встроенному драйверу жк-индикаторов на 96 сегментов.

- «ЖКИ» является 2-х цифровым LCD индикатором, выводящим данные от «МП» к водителю в салон.

- «ГЧ» задаёт частоту работы «МП» (40 кГц).

- «Согласующий каскад» является преобразователем уровня сигнала между блоками «МП» и «Выходной драйвер раскачки».

- «Выходной драйвер раскачки» обеспечивает размах сигнала в 18 В, необходимые для работы «УЗ-излучателя».

- «УЗ-излучатель» осуществляет посылку сигнала в среду.

- «УЗ-приёмник» принимает отражённый от объекта сигнал.

- «Усилитель» обеспечивает усиление и фильтрацию по 40 кГц полученного от «УЗ-приёмника» сигнала.

- Через блок «JTAG» осуществляется программирование «МК».

1.3 Разработка принципиальной схемы

1.3.1 Расчет узлов и блоков

Структурная схема разрабатываемого устройства

Главным элементом схемы является микропроцессор MSP430F413. Средний ток, потребляемый им, составляет 2,1 мкА, а рабочее напряжение – 3,6 В.

В качестве светодиода я выбираю АЛ307А, который имеет ток во включенном состоянии Iпр = 20 мА и прямое напряжение Uпр = 2 В, выполню расчет токоограничивающего резистора R7:

В схеме транзистор КТ315Д согласует работу микроконтроллера MSP430F413 и микросхемы К561ЛН2. Транзистор включен по схеме транзисторного ключа:

12В


R8 R10


VT


R9

Рисунок 1.3.1.1 – Транзисторная схеме


Определяем сопротивление R9:

Определяем напряжение в базовой цепи:

Выбираем R8 из условия обеспечения запертого состояния транзистора при максимальной рабочей температуре:

Сопротивление R7 выбираем из условия насыщенности транзистора:

R5 и R6 создают смещение на неинвертирующем входе ОУ для корректной работы с однополярным источником питания. Величина тока, протекающего через них, составляет примерно 40 мкА. Произведём расчет величины сопротивления этих резисторов:


Резистор R3 задаёт напряжение в цепи обратной связи для операционного усилителя TLV2771, резистор R2 регулирует величину смещения на неинвертирующем входе операционного усилителя. Величина резисторов R6, R5 и R4, а также конденсаторов C4, C2 и С1 выбирается по типовой схеме подключения операционного усилителя TLV2771.

Величина резисторов R3, R2 равна 100 кОм, а величина резистора R1равна 1,8 кВ, конденсаторатора С2 – в 22 пФ, конденсатора С7 – в 0,1 мФ.

R4 является «подтягивающим» резистором для вывода Reset. Ток, протекающий через него примерно равен 35 мкА. Рассчитаем величину данного сопротивления:

Конденсатор C3 обеспечивает фильтрацию питания и дожжен быть расположен как можно ближе к выводам питания. Его величину выбираем из типовой схемы подключения выводов микропроцессора MSP430F413: С3=0,1 мкФ.

1.3.2 Выбор элементной базы

Микропроцессор главным элементом устройства. Для работы устройства я выбрал микроконтроллер MSP430F413 ( DА1), т. к. он имеет такие встроенные периферийные устройства, как аналоговый компаратор Comparator_A, 16-битный таймер Timer_A с аппаратными регистрами захвата-сравнения, базовый таймер Basic Timer1 и драйвер ЖКИ, что значительно упрощает разработку устройства и обеспечивает однокристальное решение. Средний потребляемый ток этого микроконтроллера составляет примерно 2,1 мкА с учётом постоянно включенного ЖКИ. Это стало возможным благодаря использованию преимуществ функций ультранизкого потребления MSP430. Основное время MSP430F413 находится в режиме пониженного энергопотребления LPM3, при котором ресурсы ЦПУ используются всего лишь на 5,6 %. Микропроцессор принадлежит фирме Texas Instruments.

Параметры ядра:

· Тип ядра: MSP430

· Разрядность ядра: 16bit

· Тактовая частота ядра: 8MHz

· Производительность: 8MIPS

· Сторожевой таймер: есть

· Траб: -40...85°C

· Тип корпуса: QFN-64

Параметры памяти:

· Объем Flash-памяти: 8kB

· Объем RAM-памяти: 256B

Параметры питания:

· Контроллер падения напряжения: есть

· Супервизор питания: есть

· Напряжение питания ядра: 1.8...3.6В

· Напряжение питания: 1.8...3.6В

· Ток потребления в активном режиме: 0.2мкА(1 МГц, 2.2 В)

· Дежурный режим: 0.7 мкАРежим выключения (поддержание ОЗУ): 0.1 мкА

Параметры аналоговой части:

· Аналоговый компаратор: 1шт.

Параметры периферии:

· Встроенный драйвер LCD для 96 сегментов / Контроллер TFT: LCD 4x24

Параметры таймеров:

· 16-битный таймер: 2шт.

Отличительные особенности:

-Пять режимов понижения потребления

-Выход из дежурного режима не более 6 мкс

-Встроенная схема автоподстройки частоты ( FLL)

-16-разрядная RISC-архитектура, время выполнения инструкции 125 нс

-16-разрядный таймер (Timer_A) с тремя регистрами захвата_фронтов/сравнения

-Встроенный внутрисхемный последовательный программатор, программирование не требует внешнего напряжения, возможность настройки

степени защиты программы специальными программируемыми битами

-Сектор начальной загрузки во флэш-памяти

Серия фирмы Texas Instruments MSP430 – семейство микроконтроллеров со сверхмалым потреблением энергии, состоящие из нескольких устройств с различной конфигурацией периферийных модулей для различной области применения. Микроконтроллер разработан для применения в автономных батарейных системах для продления срока их службы. За счет 16-разрядной RISC архитектуры, 16-разрядных регистров интегрированных в ЦПУ и стабильности генератора MSP430 достигает максимальной эффективности кода. Генератор с цифровым управлением обеспечивает быстрый выход из экономичных режимов за время не более 6 мкс. Серия микроконтроллеров MSP430x41x конфигурируется одним 16-разрядным таймером, компаратором, 96 сегментным драйвером и 48 линиями ввода-вывода.

К типичной области применения относятся контролирующие системы, которые фиксируют аналоговые сигналы, преобразуют их в цифровой код и после обработки отображают или передают главной системе. Совместное применение компаратора и таймера делает данные устройства идеальными для промышленных измерений, счетчиков, портативных измерительных устройств и т.

RISC-архитектура RISC(Reduced Instruction Set Computer) - архитектура процессора с сокращенным набором команд. Наиболее важные отличительные особенности RISC архитектуры: архитектура регистр-регистр, простые способы адресации, простые команды и большой регистровый файл. Микроконтроллер MSP430 имеет 27 основных инструкций и 24 дополнительных инструкции, что значительно упрощает процесс генерации команд. Отсутствуют специальные команды обращения к аккумулятору, памяти или к периферийным устройствам. Это существенно повышает эффективность работы процессора. Ядро процессора - 16-битовое RISC ALU и шестнадцать 16-битовых регистров. Четыре регистра выполняют функции программного счетчика (PC), регистра статуса (SR), указателя стека (SP) и регистра констант (CG). Остальные двенадцать 16-битовых регистра - полностью в распоряжении пользователя. Регистры общего назначения используются для хранения переменных, указателей и для операций с данными. Процессор обращается к этим регистрам непосредственно, что содействует высокой эффективности работы микроконтроллера MSP430. Время выполнения команд 1-4 машинных цикла (1-4 мксек).


Режимы энергосбережения

Для эффективного использования энергии батареи семейство микроконтроллеров MSP430 использует пять режимов энергосбережения: LPM0, LPM1, LPM2, LPM3 и LMP4. На рисунке 2 показаны три основных режима энергосбережения LPM из пяти(Low Power Mode). Ток, потребляемый микроконтроллером MSP430, в нормальном (рабочем) режиме составляет 250 - 400 mкA. Процессор (CPU) и все встроенные периферийные устройства работают в обычном режиме. Основная особенность семейства микроконтроллеров MSP430 заключается в том, что периферия ( модуль ЖКИ, АЦП, таймеры, порты I/O ) может работать автономно, т.е. независимо от процессора. Поэтому, если в течение некоторого промежутка времени CPU не используется, его выключают командой "CPU Off". Потребляемый от батареи ток снижается до 30 mкA (режим LPM0). Допустим, что отпала необходимость в системной частоте (MCLK), которая используется для CPU, АЦП и таймеров. Включаем режим LPM3 - ток, потребляемый от батареи, снижается до 0,8 mкA. Возврат из энергосберегающих режимов LPM0-LPM3 в рабочий режим происходит по внутреннему прерыванию, которое генерируют периферийные модули. Возврат из режима LPM4 (все выключено) возможен только по внешнему прерыванию. Режимы управления потребляемой мощностью переключаются программно. Переходы из любого режима энергосбережения (LPM0-4) в рабочий режим происходят за 6 mксек. Развитая система прерываний ( 15 векторов ) позволяет оперативно управлять работой микроконтроллера, минимизируя время "холостой" работы CPU. Все периферийные устройства имеют индивидуальные вектора прерывания.

1.3.3 Описание принципа действия

Принцип действия светодиодной информационной панели рассмотрим на основе принципиальной схемы.



Рисунок 1.3.2.2 - Принцип действия светодиодной информационной панели

Цепь выходного драйвера раскачки излучателя запитана непосредственно 12-ю вольтами и обеспечивает на выходе размах сигнала 18 В . Это напряжение получается при помощи мостовой схемы на 4-х инверторах К561ЛН2 (DD2.1-DD2.6). Один из инверторов вращает фазу сигнала на 180° для одного из плеч драйвера, на второе плечо поступает неинвертированный сигнал. При таком построении драйвера на выходе обеспечивается размах сигнала 18 В , необходимый для излучателя. По два инвертора соединены в параллель для удвоения выходного тока.

На рисунке 1.3.2.3 представлена а на рисунке 1.3.2.4 - её условное графическое обозначение.


1

3

6

14

Uип

13

2

12

11

4

10

5

9

Рисунок 1.3.2.3 - структурная схема микросхемы К561ЛН2


Рисунок 1.3.2.4 - Графическое обозначение


Микросхема К561ЛН2 содержит 6 буферных инверторов. В отличие от других микросхем её семейства для К561ЛН2 необходимо всего лишь одно напряжение питания, которое подаётся на 14 вывод. Нагрузочная способность инвертора – два ТТЛ входа (т. е. при выходном напряжении низкого уровня не менее 0,4 В). Время задержки распространения при Uип = 10 В – не более 55 мсек.

Параметры К561ЛН2:

Операционный усилитель TLV 2771 (DD1) - это 5-выводный ОУ с высокой скоростью нарастания выходного сигнала производства TI. Этот усилитель имеет широкую полосу сигнала и обеспечивает высокое усиление на частоте 40кГц.

Операционный усилитель TLV 2771 , построенный на основе CMOS, имеет высокий пропускной показатель и широкую частотную полосу в 5,1 МГЦ, и потребляет при этом 1 мА. Он имеет хорошие показатели термической устойчивости (от -550 до +1250 С), что является хорошим показателем для использования в автомобиле. Диапазон рабочих напряжений у TLV2771 – от 2,7 В до 5,5 В.


1


3

Рисунок 1.3.2.5 - Расположение ножек

Расположение ножек на условном графическом обозначении указано на рисунке 1.3.2.5.

На рисунке 1.3.2.6 показан внешний вид микросхемы.

Рисунок 1.3.2.6 - Внешний вид микросхемы

Таблица 1.3.2.3 - Назначение выводов TLV2771

№ вывода

Наименование вывода

Предназначение вывода

1

2

3

1

Out

выход операционного усилителя

2

Gnd

заземление

3

In+

неинвертирующий вход операционного усилителя

4

In-

инвертирующий вход операционного усилителя

5

UПИТ

напряжение питания

Максимальные допустимые параметры операционного усилителя TLV2771:

· Напряжение питания UПИТ = 7 В;

· Диапазон входных напряжений UВХ = - 0,3…7 В;

· Входной ток IВХ = 4 мА;

· Выходной ток IВЫХ = 50 мА;

Рассеиваемая мощность при Т ≤ 250 С Р = 437мВт.

Для вывода информации к водителю автомобиля я применил низковольтный двухразрядный семисегментный жидкокристаллический индикатор со статическим управлением DA04-11EWA (HG1). Внешний вид индикатора изображен на рисунке 1.3.2.7.

Рисунок 1.3.2.7

Параметры DA04-11 EWA :

· Количество сегментов

7

· Количество разрядов

2

· Материал

GaAsP/GaP

· Длина волны,нм

625

· Минимальная сила света Iv мин.,мКд

1.9

· Максимальная сила света Iv макс.,мКд

4.7

· При токе Iпр.,мА

10

· Схема включения.

Общ.анод

· Высота знака,мм

10

· Максимальное прямое напряжение,В

2.5

· Максимальное обратное напряжение,В

5

· Максимальный прямой ток ,мА

30

· Максимальный импульсный прямой ток ,мА

160

· Рабочая температура,С

-40…85

Рисунок 1.3.2 и 1.3.2.9 - Ультразвуковые приёмопередатчики

В качестве излучателя и приемника ультразвукового сигнала я использовал ультразвуковые приёмопередатчики MA40E7S-1 ( TX1,RX1), которые имеют влагозащищенный корпус, что дает большое преимущество по сравнению с другими ультразвуковыми датчиками открытого типа. Рабочая частота у MA40E7S-1 составляет 40 кГц. Диапазон рабочих температур: -30...+85°С.

Рисунок 1.3.2.10 – Внешний вид данного приемопередатчика.


На рисунке 1.3.2.10 представлен внешний вид данного приемопередатчика.

Имеет следующие параметры:

· Диаметр

18 мм

· Чувствительность

-72 дБ

· Уровень звукового давления

106 дБ

· Угол рассеяния

75о

· Разрешение

9 мм

· Детектируемое расстояние

0.2 – 3 м

C схеме устройства я использовал интерфейс JTAG (XS1), который задействует 4 вывода AVR-микроконтроллера. По JTAG-терминологии эти выводы в совокупности называются "Порт доступа к функциям тестирования" (TAP). В состав этого порта входят следующие сигналы:

· TMS – Выбор режим тестирования. Данный вывод используется для навигации по цифровому автомату TAP-контроллера.

· TCK: Синхронизация тестирования. JTAG-интерфейс работает синхронно по отношению TCK.

· TDI: Тестовый ввод данных. Последовательный ввод данных сдвигом в регистр инструкции или регистр данных (цепи сканирования).

· TDO: Тестовый вывод данных. Последовательный вывод данных из регистра инструкции или регистра данных.

Ультразвуковой дальномер создан на базе микропотребляющего микроконтроллера MSP430F413. Прибор передаёт «пачку» волн ультразвуковой частоты по направлению объекта и принимает соответствующий отражённый сигнал. Встроенный в MSP430 аналоговый компаратор Comparator_A используется для определения момента принятия отражённого сигнала. Микроконтроллер с высокой точностью измеряет время прохождения ультразвуковой «пачкой» расстояния от излучателя до объекта и обратно. Принимая во внимание, что скорость звука при комнатной температуре равна 335,48 метров/сек, MSP430 вычисляет расстояние между прибором и объектом и выводит значение на 2-цифровой ЖКИ со статическим управлением при помощи встроенного ЖК-драйвера. Расстояние отображается с точностью 9 мм. Минимально измеряемое расстояние – 20 см, оно ограничено физическими характеристиками излучателя. Максимальное измеряемое расстояние – 300 см. Амплитуда отражённого сигнала зависит от материала объекта, его формы и размера. Звукопоглощающие предметы, такие, как ковры и отражающие объекты площадью менее 0,2-х квадратных метров плохо отражают сигнал, для таких предметов максимально измеряемое расстояние меньше. Если величина отражённого сигнала меньше порога срабатывания Comparator_A, прибор перейдёт в режим перегрузки. На экране будет показано сообщение об ошибке E.

Прибор основан на эффекте отражения звуковых волн. Эти волны можно представить как продольные колебания давления в среде их распространения. Предметы, размеры которых превышают длину падающей звуковой волны, отражают её; отражённая волна называется эхом. Если скорость звука в среде известна и можно измерить время распространения волны от источника до объекта и обратно, то расстояние между излучателем и предметом может быть точно вычислено. На этом принципе измерения и основан данный прибор. Средой распространения звуковых волн в данном случае является воздух, а звуковые волны излучаются ультразвуковом диапазоне, т.к. он не воспринимается человеческим ухом. Принимая скорость звука в воздухе равной 335,48 метрам в секунду при комнатной температуре и обозначив время распространения звуковой волны от излучателя до объекта и обратно через t (сек), расстояние в вычисляется по формуле d=335,48 X 12 X t (метров). Так как звуковые волны преодолевают удвоенное расстояние между излучателем и предметом, реальное расстояние между источником и объектом будет равно d/2.

В данном приборе использованы керамические ультразвуковые излучатели на частоту 40 кГц. MSP430 подаёт на излучатель пачку из 12 импульсов частотой 40 кГц прямоугольной формы стабилизированной при помощи кварцевого резонатора и принимает «эхо» с помощью УЗ-приёмника. Таймер Timer_A в MSP430 сконфигурирован для подсчёта 40-килогерцовых импульсов от кварца, таким образом, временное разрешение измерения составляет 25 мкс, что более чем достаточно для данных целей. Тактовая частота для измерений получена при помощи кварцевого генератора, что обеспечивает её высокую стабильность. Отражённый сигнал, принятый приёмником усиливается операционным усилителем, выход которого подключен к входу компаратора Comparator_A . Компаратор

Comparator_A определяет наличие сигнала на входе и формирует сигнал захвата для таймера Timer_A, результат счёта при этом «защёлкивается» в регистре захвата-сравнения CCR1. Время осуществления «защёлкивания» в точности соответствует времени прихода импульсов отражённого сигнала. Запомненный в регистре результат счёта соответствует времени, затраченному пачкой ультразвуковых импульсов на преодоление расстояния от прибора до объекта и обратно. Расстояние в дюймах вычисляется микроконтроллером MSP430 исходя из измеренного времени и выводится на 2-х цифровой ЖКИ со статическим управлением. Сразу после этого МК переходит в режим пониженного потребления LPM3 для снижения потребляемой мощности. Таймер Basic Timer1 запрограммирован на формирование прерываний каждые 205 мс. Прерывание от Basic Timer1 переводит MSP430 в активный режим, при этом повторяются цикл измерения и вывод на ЖК.

МК MSP430F413 является главным элементом схемы. HG1 - 2-х цифровой низковольтный ЖКИ со статическим управлением, управляемый встроенным драйвером ЖКИ. R03 подключен к 3,6 В, а R13 и R23 оставлены неподключенными, таким образом организовано статическое управление ЖК. Кварцевый резонатор на 40 кГц специально выбран для использования в составе низкочастотного кварцевого генератора для обеспечения резонансной частоты используемых в приборе ультразвуковых излучателей. R4 является «подтягивающим» резистором для вывода Reset. Конденсатор C3 обеспечивает фильтрацию питания и должен быть расположен как можно ближе к выводам питания. 14-выводный разъём XS1 предназначен для подключения интерфейса JTAG к MSP430 для внутрисхемного программирования и отладки при помощи MSP430 flash emulation tool. Светодиод VD1 сигнализирует о циклах измерения. Вывод порта P1.5 сконфигурирован как выход частоты ACLK, требуемой для УЗ-излучателя.

Цепь выходного драйвера раскачки излучателя запитана непосредственно 9-ю вольтами и обеспечивает на выходе размах сигнала 18 В . Это напряжение получается при помощи мостовой схемы на 4-х инверторах К561ЛН2. Один из инверторов вращает фазу сигнала на 180° для одного из плеч драйвера, на второе плечо поступает неинвертированный сигнал. При таком построении драйвера на выходе обеспечивается размах сигнала 18 В , необходимый для излучателя. По два инвертора соединены в параллель для удвоения выходного тока. Конденсаторы C5 и C6 обеспечивают развязку излучателя по постоянному току. Т.к. К561ЛН2 запитан от 12 В, а MSP430 от 3.6 В, уровень логического сигнала не согласован. Биполярный транзистор КТ315Д служит преобразователем уровня.

Операционный усилитель TLV 2771 - это 5-выводный ОУ с высокой скоростью нарастания выходного сигнала производства TI. Этот усилитель имеет широкую полосу сигнала и обеспечивает высокое усиление на частоте 40кГц. ОУ включен по инвертирующей схеме. Коэффициент усиления (КУ) устанавливается резисторами R1 и R3 и равен 55, С2 служит для частотной коррекции. R5 и R6 создают смещение на неинвертирующем входе ОУ для корректной работы с однополярным источником питания. Усиленный ультразвуковой сигнал является двухполярным относительно постоянного уровня в данной точке. Высокая добротность УЗ-приёмника обеспечивает требуемую избирательность и ослабление частот, кроме 40кГц. Выход ОУ подключен ко входу CA0 компаратора Comparator_A через вывод порта P1.6. Опорное напряжение компаратора Comparator_A выбрано от внутреннего источника 1,8 В . Пока не принято ультразвуковое «эхо» уровень напряжения на входе CA0 несколько меньше, чем на опорном CA1. При приёме сигнала уровень на входе возрастает выше опорного, при этом переключается выход компаратора Comparator_A CAOUT. Резистором R6 осуществляется точная подстройка чувствительности и, соответственно, оптимального диапазона измерения.

MSP430 и усилитель сигнала УЗ-приёмника питаются от управляемого источника 3.6В. Выключатель S1 управляет питанием прибора.

1.3.4 Расчет потребляемой мощности

Рассчитаем потребляемую мощность каждого из элементов:

· Мощность, потребляемая ИМС MSP430F413:

P1=UПОТ ×IПОТ =3,6×0,2×10-6 =0,72 мкВт;

· Мощность, потребляемая ИМС TLV2771:

P2= UПОТ ×IПОТ =12×20×10-6 =240 мкВт;

· Мощность, потребляемая ИМС К561ЛН2:

P3= UПОТ ×IПОТ =3,6×1×10-3 =3600 мкВт;

· Мощность, потребляемая ЖКИ DA04-1EAW:

P4= UПОТ ×IПОТ =2,5× 5×10-3 =12500 мкВт;

· Мощность, потребляемая транзистором КТ315Д:

P5= UПОТ ×IПОТ =12×1×10-3 =12000 мкВт.

· Мощность, потребляемая резисторами R1-R10:

P6= UПОТ ×IПОТ =10×0,125×10-3 = 1250 мкВт;

· Мощность, потребляемая светодиодом АЛ307А:

P7= UПОТ ×IПОТ =3,6×1×10-3 =3600 мкВт;

Мощность, потребляемая устройством :

P=P1+P2+P3+P4+P5+P6+P7=(0.72+240+3600+12500+12000+1250+3600)×10-6 =33191×10-6 =33,2 мВт.

Ток, потребляемый устройством:

I=33,2×10-6/12=2,765 мА.

1.4 Разработка блока питания

Источником питания для светодиодной информационной панели является автомобильный аккумулятор, который является электрическим прибором, накапливающим электроэнергию при заряде и отдающий её во внешнюю цепь при разряде. При заряде аккумуляторной батареи электрическая энергия, поступающая в неё, превращается в химическую и в таком виде накапливается. Во время разряда химическая энергия вновь преобразуется в электрическую и питает электроприборы.

Аккумуляторная состоит из моноблока, разделённого перегородками на три или шесть отсеков. Внутрь каждого отсека установлен пакет, состоящий из положительных и отрицательных электродов (пластин) с сепараторами. Одноименные электроды соединены параллельно. Отсеки сверху закрыты общей или отдельными крышками, в которых есть отверстия для заливки электролита. Места соединений крышек с моноблоком заполнены кислотоупорной мастикой.

Основное предназначение аккумулятора – питание пускового электродвигателя (стартера). В начальный момент пуска двигателя стартеры потребляют от аккумулятора силу тока, достигающую 600-825 А. Затем потребляемая сила тока падает.

Напряжение на зажимах аккумулятора составляет 12 В.

В устройстве от 3,6 В питается микросхема процессора MSP430F413 и усилитель на TLV2771. Получить данное стабилизированное напряжение можно через схему источника напряжения на стабилизаторе К142ЕН12А.



R1

С1

12 ВR23,6 В

C2

Рисунок 1.4.1 – Типовая схема подключения К142ЕН12А

Параметры стабилизатора К142 ЕН12А:

UВЫХ СТ = 1,2 – 30 В;

IСТ НАГР = 1,5 А;

UСТ ПАД = 3 В;

С1=С2=0,1 мкФ.


2. Конструкторско-технологический раздел

2.1 Разработка печатной платы

Печатные платы представляют собой диэлектрическую пластину с нанесенным на нее токопроводящим рисунком (печатным монтажом) и отверстиями для монтажа элементов.

При конструировании РЭА на печатных платах используют следующие методы:

1.Моносхемный применяют для несложной РЭА. В том случае вся электрическая схема располагается на одной ПП. Моносхемный метод имеет ограниченное применение, так как очень сложные ПП неудобны при настройке и ремонте РЭА. Недостаток – сложность системы соединительных проводов, связывающих отдельные платы.

2.Функционально-узловой метод применяют в РЭА с использованием микроэлектронных элементов. При этом ПП содержит проводники коммутации функциональных модулей в единую схему. На одной плате можно собрать очень сложную схему. Недостаток этого метода – резкое увеличение сложности ПП. В ряде случаев все проводники не могут быть расположены на одной и даже обеих сторонах платы. При этом используют многослойные печатные платы МПП, объединяющие в единую конструкцию несколько слоёв печатных проводников, разделённых слоями диэлектрика. В соответствии с ГОСТом различают три метода выполнения ПП:

3.ручной;

4.полуавтоматизированный;

5.автоматизированный;

Предпочтительными являются полуавтоматизированный, автоматизированный методы.

Метод металлизации сквозных отверстий применяют при изготовлении многослойных печатных плат. Заготовки из фольгированного диэлектрика отрезают с припуском 30 мм на сторону. После снятия заусенцев по периметру заготовок и в отверстиях, поверхность фольги защищают на крацевальном станке и обезжиривают химически соляной кислотой в ванне. Рисунок схемы внутренних слоёв выполняют при помощи сухого фоторезиста. При этом противоположная сторона платы должна не иметь механических повреждений и подтравливания фольги. Базовые отверстия получают высверливанием на универсальном станке с ЧПУ. Ориентируясь на метки совмещения, расположенные на технологическом поле. Полученные заготовки собирают в пакет. Перекладывая их складывающимися прокладками из стеклоткани, содержащими до 50% термореактивной эпоксидной смолы. Совмещение отдельных слоёв производится по базовым отверстиям. Прессование пакета осуществляется горячим способом. Приспособление с пакетами слоёв устанавливают на плиты пресса, подогретые до 120…130° С. Первый цикл прессования осуществляют при давлении 0,5 МПа и выдержке15…20 минут. Затем температуру повышают до 150…160° С, а давление – до 4…6 МПа. При этом давлении плата выдерживается из расчёта 10 минут на каждый миллиметр толщины платы. Охлаждение ведётся без снижения давления. Сверление отверстий производится на универсальных станках с ЧПУ СМ-600-Ф2. В процессе механической обработки платы загрязняются. Для устранения загрязнения отверстия подвергают гидроабразивному воздействию. При большом количестве отверстий целесообразно применять ультразвуковую очистку. После обезжиривания и очистки плату промывают в горячей и холодной воде. Затем выполняется химическую и гальваническую металлизации отверстий. После этого удаляют маску. Механическая обработка по контуру, получение конструктивных отверстий осуществляют на универсальных, координатно-сверлильных станках. Выходной контроль осуществляется автоматизированным способом на специальном стенде, где происходит проверка работоспособности платы, т.е. её электрических параметров. Затем идет операция гальванического осаждения меди. Операция проводиться на автооператорной линии АГ-44. На тонкий слой осаждается медь до нужной толщины. После этого производится контроль на толщину меди и качество её нанесения. Далее производиться обработка по контуру печатной платы. В этой операции удаляется ненужный стеклотекстолит по краям платы и подгонка до требуемого размера. Затем методом сеткографии производиться маркировка печатной платы. Весь цикл производства печатных плат заканчивается контролем платы. Здесь используется автоматизируемая проверка на специальных стендах.

2.2 Компоновка устройства

Процесс компоновки элементов проектируемой мной светодиодной информационной панели можно подразделить на несколько этапов:

○ Функциональная компоновка - это размещение и установка функциональных элементов на печатных платах с учетом функциональных и энергетических требований, а также плотности компоновки и установки элементов, плотности топологии печатных проводников. Функциональная компоновка проводится для определения основных размеров печатной платы, выбора способов ее проектирования и изготовления. Прежде чем приступить к изготовлению печатной платы, нужно сделать её рисунок, т.е. скомпоновать все радиоэлементы и микросхемы. Компоновка устройства подразумевает под собой примерное расположение на печатной плате радиоэлементов и микросхем, входящих в состав устройства. Для определения положения элементов на плате в первую очередь делают рисунок платы в соответствии с заданными габаритами устройства, далее компонуются все радиоэлементы и микросхемы на рисунке в соответствии с их реальными размерами.

После расположения радиоэлементов и микросхем наносятся отверстия для контактных площадок и отверстия для крепления печатной платы в корпусе устройства.

Заключительным этапом является проведение соединительных линий (печатных проводников) в соответствии с принципиальной схемой устройства.

○ Внутренняя компоновка - заключается в размещении входящих в состав нашего устройства блоков внутри его корпуса с учетом требований удобства сборки, контроля, ремонта, механического и электрического соединения, требований по обеспечению оптимального теплового режима и эргономики.

○ Внешняя компоновка - это компоновка устройства в конструкциях старшего уровня, например в составе рабочего места студента, при этом, прежде всего, учитываются эргономические требования. К эргономическим критериям компоновки разрабатываемой нами приставки относятся: эффективность работы и сохранение здоровья в процессе эксплуатации.

Для определения размеров печатной платы произведу расчёты по определению площади каждого элемента:

Sэл.=D×H×1,5×N, (2.2.1)

где S – площадь радиоэлемента;

D – длина радиоэлемента;

H – ширина радиоэлемента;

N – количество одинаковых радиоэлементов.

Площадь резисторов МЛТ - 0,125:

S=2,2×6×1,5×10=225 мм2 .

Площадь конденсаторов КМ5:

S=5×5×1,5×7= 262,5 мм2 .

Площадь транзисторов КТ315Д:

S=7,3×7,3×1,5=80 мм2

Площадь ИМС К561ЛН2:

S=10×6,2×1,5= 93 мм2

Площадь ОУ TLV2771:

S=3×4×1,5= 18 мм2

Площадь светодиода АЛ307А:

S=6×6×1,5=54 мм2 .

Площадь процессора MSP430F413:

S=30×30×1,5=1350 мм2 .

Площадь кварцевого резонатора на 40 кГц:

S=4×7×1,5=42 мм2 .

Площадь платы равна сумме всех площадей радиоэлементов:

Sобщ=225+262,5+80+93+18+54+1530+42=2304,5 мм2

Габаритные размеры печатной платы ≈100×23 мм

2.3 Поиск и устранение неисправностей

Существует несколько способов отыскания неисправностей. Выбор того или иного способа зависит от назначения устройства и особенностей схемы. Поэтому от техника-электроника требуется хорошее знание, как минимум принципиальной схемы и конструкции ремонтируемого устройства.

Все неисправности любого радио электрического устройства можно подразделить на механические и электрические.

К механическим неисправностям относятся неисправности в механических узлах устройства (для моего случая, к данному типу неисправностей можно отнести выход из строя переключателей входящих в состав блока задания исходной информации и блок индикации).

К электрическим неисправностям относятся такие, которые приводят к изменению электрического сопротивления цепей (например, к обрыву цепи), Значительному увеличению сопротивления, значительному уменьшению его или короткому замыканию. Для моего учебного стенда к таким неисправностям можно отнести: выход из строя резисторов, микросхем, и т. п.

При поиске неисправностей радиоэлектричекого устройства применяют пять способов:

1) Внешний осмотр позволяет выявить большинство механических неисправностей, а также некоторые электрические. Внешним осмотром проверяется качество сборки и монтажа. При проверке качества сборки вручную следует проверить механическое крепление отдельных узлов, таких как переключатели, переменные резисторы, штепсельные соединения (разъемы). В случае нарушения крепления оно восстанавливается. Внешним осмотром проверяют также качество электрического монтажа. При этом выявляют целостность соединительных проводников, наличие затеков припоя, которые могут привести к коротким замыканиям между отдельными участками схемы, обнаруживают провода с нарушенной изоляцией, проверяют качество паек и т. п. Внешним осмотром можно убедиться в правильности номиналов резисторов и конденсаторов (блока питания), выявить дефекты отдельных элементов (обрыв выводов, резисторов, механическое повреждение керамических конденсаторов и другие).

Внешний осмотр, как правило, делают при отключенном питании аппаратуры. При его проведении особое внимание необходимо обращать на то, чтобы в монтаж не попали случайные предметы, которые при включении устройства могут вызвать короткое замыкание.

Внешним осмотром можно выявить неисправный светоэлемент (по яркости свечения), резисторов (по изменению цвета или обугливанию поверхностного слоя) и других элементов.

Во включенном состоянии можно определить перегрев трансформаторов, электролитических конденсаторов, полупроводниковых элементов. Появление запахов от перегретых обмоток, резисторов, пропиточного материала трансформаторов также сигнализирует о наличии неисправностей в схеме устройства. О неисправности может свидетельствовать и изменение частоты или тона звуковых колебаний воздушной среды, вызываемых работой трансформаторов и других элементов, которые обычно либо вообще не слышны во время работы, либо имеют звучание другого тона.

Для проверки отсутствия коротких замыканий используют омметр. В качестве опорной точки чаще всего принимают плюс или минус источника питания. Иногда входе осмотра возникает сомнение в исправности отдельных элементов. Тогда следует выпаять элемент и проверить его исправность более тщательно.

2) Способ промежуточных измерений – заключается в последовательной проверке прохождения сигнала от блока к блоку до обнаружения неисправного участка.

3) Способ исключения – заключается в последовательном исключении исправных узлов и блоков.

4) Способ замены отдельных элементов, узлов или блоков на заведомо исправные, широко используется при ремонте радио электрических устройств. Например, можно заменить элемент (транзистор, трансформатор, микросхему) или блок на заведомо исправный и убедиться в наличии неисправности на этом участке.

5) Способ сравнения – заключается в сравнении параметров неисправного аппарата с параметрами исправного аппарата того же типа или марки.

Использование того или иного способа поиска неисправности зависит от способностей схемы устройства.

Для устройства идентификации близлежащих предметов характерны следующие неисправности:

Если отсутствует напряжение питания, то необходимо проверить источник питания. В моём случае это аккумулятор. Если на схему подано напряжение питания, то проверяем компоненты схемы проверяемого устройства. Если не работают индикаторы, то значит, либо неисправны сами индикаторы, либо неисправны микросхема DD1, следовательно, необходимо проверить индикатор, микросхему DD1, и если они неисправен, то заменить его. Если на индикаторе формируются символы, не предусмотренные прошивкой ПЗУ, то неисправен микропроцессор. Значит, необходимо проверить микросхему прцессора, если «полетела» прошивка, то перепрограммировать ПЗУ; необходимо проверить генератор импульсов. К выходу генератора импульсов подключить частотомер либо осциллограф. Если генератор импульсов неисправен, то заменить микросхему DD1.

Таблица 2.3.1 – Неисправности устройства

Тип неисправности

Причины неисправности

Способ устранения

1

2

3

Отсутствует напряжение питание

- Неисправен аккумулятор;

- Проверить уровень заряда аккумулятора.

Не работают индикаторы

- Неисправны сами индикаторы;

- Неисправна микросхемы DD1;

- Проверить индикаторы, микросхему DD1.

На индикаторе формируются символы, не предусмотренные прошивкой ПЗУ

- «полетела» прошивка ПЗУ;

- Неисправен генератор импульсов на микросхеме DD1.

- Перепрограммировать ПЗУ;

- Проверить генератор импульсов, подключив к выходу частотомер либо осциллограф.

На входе схемы нет +12В

- Обрыв соединительных проводов.

- Проверить соединительные провода на пример обрыва.


3. Экономический раздел

Расчет затрат на сырье и материалы:

См = S Н i * Ц i ,

где См —стоимость сырья и материалов, руб.;

Нi — норма расхода i-го материала, в натуральных показателях;

Цi — цена за единицу измерения i-го материала, руб.

Результаты расчетов оформляем в таблицу:

Таблица 3.1 - Расчет затрат на сырье и материалы

n/n

Наименование

материала

Единицы измерения

Норма расхода на устройство

Цена за ед. измерения,

( руб.)

Сумма,

(руб.)

1

2

3

4

5

6

1

Обезжириватель ДХТИ – НТ ТУ6 – 00 – 5800151 – 160 – 89

кг

0,02

1320

26,4

2

Хлорное железо

ТУ6-09-3084-82

кг

0,04

3000

120

3

Краска ТНПФ-53 черная

кг

0,02

14240

284,8

4

Ацетон (УАЙТ-СПИРИТ) ГОСТ 2603-79

кг

0,02

3070

61,4

5

Стеклотекстолит СФ-2-35Г-1,5 1с

ГОСТ 10316-78

кг

0,2

9330

1866

6

Флюс ФКТ ОСТ 4ГО.

033.020

кг

0,01

7590

75,9

Итого

2 434,5


Расчет затрат на покупные комплектующие изделия и полуфабрикаты:

Ск = S i * Ц i ,)

где Ск — стоимость покупных комплектующих изделий и полуфабрикатов на одно устройство, руб.

Кi — количество комплектующих изделий и полуфабрикатов i-го наименования на одно устройство, шт.

Цi — цена за единицу, руб.

Результаты расчетов оформляем в таблицу:

Таблица 3.2 - Расчет затрат на покупные комплектующие изделия и полуфабрикаты

№ п/п

Наименование комплектующих изделий и полуфабрикаты

Количество на 1 устройства

Цена за единицу (руб.)

Сумма (руб.)

1

2

3

4

5

1

LCD-индикатор E0812

1

2900

2900

2

Микропроцессор MSP430F413

1

11000

11000

3

Операционный Усилитель TLV2771

1

4100

4100

4

К561ЛН2

1

650

650

5

Транзистор КТ315Д

1

300

300

6

Светодиод АЛ307А

1

1000

1000

7

Кварцевый резонатор

1

600

600

8

Резистор МЛТ-0,125-100кОм

5

150

750

9

Резистор МЛТ-0,125-2кОм

1

100

100

10

Резистор МЛТ-0,125-1,8кОм

1

100

100

11

Резистор МЛТ-0,125-1кОм

1

100

100

12

Резистор МЛТ-0,125-100Ом

2

100

200

13

Конденсатор КМ5-Н30-0,2мкФ

3

350

1050

14

Конденсатор КМ5-Н30-0,1мкФ

3

350

1050

15

Конденсатор КМ5-П33-22пФ

1

250

250

16

Выключатель

1

1000

1000

17

14-выводной разъём

1

1000

1000

18

УЗ-приёмопередатчик

2

3000

6000

Итого

32 150

Расчет тарифной заработной платы производственных рабочих :

ЗПтар = S (Сч ij * Т ei ),

где ЗПтар — тарифная заработная плата производственных рабочих, руб.;

Счij — часовая тарифная ставка по i-той операции, j-го разряда работ, руб.;

Тei — трудоемкость i-той операции, чел-час.;

Результаты расчетов оформляем в таблицу:

Таблица 3.3- Расчет тарифной заработной платы производственных рабочих

п/п

Наименование операции

Разряд

работ

Часовая

тарифная ставка

(руб.)

Трудоемкость,

(чел-час.)

Сумма тарифной

зарплаты,

(руб.)

1

2

3

4

5

6

1

Слесарные

2

922

1

922

2

Монтажные

4

1248

3

3744

3

Лакокрасочные

3

1073

2

2146

4

Регулировочные

4

1248

3

3744

5

Механические

2

922

2

1844

Итого

12400

Расчет основной заработной платы производственных рабочих:

ЗПосн = ЗПтар + Пр

Зпосн = 12 400+3 720 = 16 120 руб.,

где ЗПосн — основная заработная плата производственных рабочих, руб.;

Пр — сумма премии, руб.

Пр =

ЗПтар

100

*%Пр =( 12400/100) * 30 = 3 720 руб.

где %Пр — процент премии основным производственным рабочим - 30%.

Расчет дополнительной заработной платы производственных рабочих ( ЗПдоп):

ЗПдоп = ЗПосн * 8,1 / 100,

где 8,1 - % дополнительной зарплаты по предприятию.

ЗПдоп = 16 120 * 8,1 / 100 = 1 305,72 руб.

Расчет отчислений от заработной платы производственных рабочих (Озп):

а) в фонд соц.защиты — 35% от заработной платы;

б) отчисления по обязательному страхованию – 0,3 %.

Озп = 0,353* (ЗПосн + ЗПдоп)

Озп = 0,353 * (16 120 + 1 305,72) = 6 151,3 руб.

Итого прямые затраты (Зпр):

Зпр = М + ЗПосн + ЗПдоп + Озп

Зпр = 2 434,5+ 32 150 + 16 120 + 1 305,72 +6 151,3 = 58 161,52 руб.

Расчет накладных расходов (Нр):

Нр=

ЗПосн

100

*169,2=(16 120/100)*169,2=27 275руб

где 169,2 — процент накладных расходов по предприятию за базисный период,%.

Калькуляция себестоимости проектируемого устройства. Расчет отпускной цены проектируемого устройства

Расчеты оформляем таблично:

Таблица 3.4 - Калькуляция себестоимости проектируемого устройства

Статьи затрат калькуляции

Условные обозначения

Сумма, руб.

1

Материальные затраты

М

34 584,5

2

Заработная плата производственных рабочих

ЗПосн + ЗПдоп

17 425,7

3

Отчисления от заработной платы производственных рабочих

Озп

6 151,3

4

Итого прямые затраты

Зпр

58 161,52

5

Накладные расходы

Нр

27 275

6

Итого полная себестоимость ( С = Зпр + Нр)

С

85 436,52

7

Плановая прибыль (П = Ур * Сп / 100 ; где Ур - уровень плановой рентабельности 20%)

П

17 087,3

8

Сбор в республиканский фонд поддержки производителей сельскохозяйственной продукции, продовольствия и аграрной науки – 2%, Сб = (С + П) * 2 / (100 – 2).

Сб

2 092,3

9

Итого стоимость проектируемого устройства без НДС

Сндс = С + П + Сб

Сндс

104 616,1

10

НДС =

(С + П + Сб)* 18

,

100

Налог на добавленную стоимость

НДС

18 830,9

11

Отпускная цена проектируемого устройства с учетом НДС

Цо = С + П + Сб + НДС,

Цо

123 447

Исходя из произведенных расчетов отпускная цена проектируемого устройства составит 123 447 рублей при его полной себестоимости в 58 161,52 рублей и материальных затратах на покупку комплектующих в 34 584,5 рублей. Заработная плата производственных рабочих составит 17 425 рублей.

Расчёты по данному устройству были проведены по данным предприятия РУП «Гомель ВТИ» за апрель 2008 года.


4. Охрана труда

При выполнения монтажных, слесарных и столярных работ приходится иметь дело и с высоким, и с острыми и быстро вращающимися инструментами или звеньями механизмов, и с агрессивными химическими веществами.

Для предохранения от поражения высоким напряжением запрещается выполнять электромонтажные работы в работающей радиоаппаратуре. Снятие статического заряда обеспечивается применением заземляющего браслета. Можно использовать обычный металлический браслет от часов, соединённый с проводом заземления через резистор сопротивлением 1 МОм.

При работе с электрическим паяльником надо соблюдать следующие правила:

1. Периодически проверять омметром отсутствие замыкания между корпусом паяльника и нагревательным элементом. Такое замыкание может стать причиной поражения током и порчи припаиваемых элементов. Поэтому рекомендуется работать с паяльником, жало которого заземлено.

2. Использовать устойчивую подставку для паяльника, что предохранит его от падения, а работающего от ожогов.

3. Ни в коем случае не выполнять пайку в работающем устройстве, так как случайное замыкание может вывести устройство из строя и быть причиной травмы.

При работе со слесарными инструментами надо быть внимательным и аккуратным, чтобы не пораниться резаком, напильником, лобзиком, сверлом или обрабатываемой деталью. Для этого необходимо:

1. Надёжно зажать сверло в патроне дрели специальным ключом.

2. Просверливаемую деталь надёжно закреплять, иначе она в конце сверления может начать вращаться вместе со сверлом.

3. Вырубание фасонных отверстий надо выполнять обязательно на массивной металлической подставке.

4. При работе с резаками обязательно подкладывать под разрезанный лист фанерную прокладку, чтобы не повредить пол.

При работе с химическими веществами следует строго соблюдать все рекомендации по растворению, смешиванию, последовательности выполнения операций и температурному режиму. Работать необходимо в халате , а в отдельных случаях – в перчатках и защитных очках. Прежде всего необходимо оберегать глаза, губы и слизистые оболочки носа и горла, которые наиболее чувствительны к воздействию химических веществ.

На участке тела, обожжённого паяльником или брызгами припоя, надо сделать содовую примочку, а потом поражённое место смазать вазелином. Места ожогов кислотами обильно промыть водой и смочить содовым раствором. Место ожога щёлочами нужно обильно обмыть раствором уксусной (лимонной или борной) кислоты. При порезах и царапинах ранку обработать раствором йода и заклеить лейкопластырем.

Факторы производственной среды оказывают существенное влияние на работоспособность человека. Существует разделение производственных факторов на опасные и вредные. Опасный производственный фактор - это производственный фактор, воздействие которого в определенных условиях приводит к травме или к другому внезапному ухудшению здоровья. Воздействие же вредного производственного фактора в определенных условиях приводит к заболеванию или снижению работоспособности.

Значительным физическим фактором является микроклимат рабочей зоны, особенно температура и влажность воздуха. Человек постоянно находится в процессе теплового взаимодействия с окружающей средой. Исследования показывают, что высокая температура в сочетании с высокой влажностью воздуха оказывает большое влияние на работоспособность человека. Увеличивается время реакции, нарушается координация движений, резко увеличивается число ошибочных действий. Высокая температура на рабочем месте отрицательно влияет на психологические функции: понижается внимание, уменьшается объем оперативной памяти, снижается способность к ассоциациям.

В помещениях чаще всего бывает пониженная влажность воздуха. Зимой из-за систем центрального отопления, а летом - из-за применения кондиционеров и вентиляторов. Пониженная влажность воздуха отрицательно сказывается на состоянии кожного покрова человека: кожа теряет влагу, становится сухой и шершавой. При пониженной влажности ощущается сухость во рту, появляется жажда.

Температура, относительная влажность и скорость движения воздуха влияют на теплообмен и необходимо учитывать их комплексное воздействие. Нарушение теплообмена вызывает тепловую гипертермию, или перегрев.

Мероприятия по приведения температуры воздуха рабочей зоны, влажности, подвижности воздуха к оптимальным значениям:

В холодное время года предусматривается система отопления. Для отопления помещений используются водяные, воздушные и панельно-лучевые системы центрального отопления.

Освещение рабочего места - важнейший фактор создания нормальных условий труда. Освещению следует уделять особое внимание, так как при работе с наибольшее напряжение получают глаза.

При организации освещения необходимо иметь в виду, что увеличение уровня освещенности приводит к уменьшению контрастности изображения на дисплее. В таких случаях выбирают источники общего освещения по их яркости и спектральному составу излучения.

Общая чувствительность зрительной системы увеличивается с увеличением уровня освещенности в помещении, но лишь до тех пор, пока увеличение освещенности не приводит к значительному уменьшению контраста.

Для определения приемлемого уровня освещенности в помещении необходимо:

•определить требуемый уровень освещенности изготавливаемого устройства и инструмента внешними источниками света;

•если требуемый уровень освещенности не приемлем для работающих в данном помещении, надо найти способ сохранения требуемого контраста изображения другими средствами.

Неудовлетворительное освещение утомляет не только зрение, но и вызывает утомление всего организма в целом. Неправильное освещение часто является причиной травматизма (плохо освещенные опасные зоны, слепящие лампы и блики от них). Резкие тени ухудшают или вызывают полную потерю ориентации работающих, а также вызывают потерю чувствительности глазных нервов, что приводит к резкому ухудшению зрения.

Для общего освещения помещений лучше использовать люминесцентные лампы. Это обусловлено такими их достоинствами:

•высокой световой отдачей;

•продолжительным сроком службы;

•малой яркостью светящейся поверхности.

Светильники с люминесцентными лампами размещаются рядами, параллельно с окнами. Главными недостатками люминесцентных ламп являются производимый ими шум и мерцание.

Кроме рабочего освещения нормами предусмотрено устройство:

•аварийного;

•эвакуационного;

•охранного.

Пожарная безопасность объекта должна обеспечиваться системами вращения пожара и противопожарной защиты. В этом помещении нет легко воспламеняющихся, самовозгорающихся и взрывчатых веществ, мощных электроустановок и искрящегося оборудования, механизмов с движущимися частями, износ и коррозия которых могли бы привести к пожару. Применяемое оборудование достаточно сложное, чтобы его ремонтировать или эксплуатировать с нарушением технологических карт, поэтому, оно также не может быть источником пожара. Все основные причины возникновения пожаров практически исключены, но это не является причиной пренебрежения пожарной безопасностью. Помимо этого в помещении производится работа с паяльником.

Поэтому некоторые меры должны быть приняты:

•обеспечение эффективного удаления дыма, т.к. в процессе пайки выделяются летучие ядовитые вещества и едкий дым;

•обеспечение правильных путей эвакуации;

•наличие огнетушителей и пожарной сигнализации;

•соблюдение всех противопожарных требований к системам отопления и кондиционирования воздуха.

Одним из проявлений оптимальных условий труда является длительное сохранение работоспособности. Для этого нужна такая организация труда, которая опирается на знание закономерностей трудовой деятельности. Во время трудовой деятельности функциональная способность человеческого организма изменяется во времени. Изменения обнаруживаются на протяжении рабочей смены и называются динамикой работоспособности.

В изменениях соотношений между продуктивностью, работоспособностью и эмоционально-волевым направлением можно отметить 7 периодов:

•период врабатываемости. В этот период работоспособность повышается и в конечном итоге достигает максимального уровня. Продуктивность обычно нарастает;

•период оптимальной работоспособности. Уровень максимальной работоспособности, продуктивности и волевого усилия относительно стабилизирован;

•период "полной компенсации". Возникающее утомление несколько снижает уровень максимальной работоспособности, однако, благодаря эмоционально-волевому напряжению, продуктивность сохраняется на прежнем уровне;

•период неустойчивой компенсации. С нарастанием утомления максимальная работоспособность продолжает снижаться. Интенсивность волевого напряжения колеблется. В момент его ослабления продуктивность падает, в момент усиления - возрастает;

•“конечный порыв”. Утомление все более нарастает, а максимальный уровень работоспособности падает. Однако продуктивность может быть увеличена при значительном волевом усилии;

•период прогрессивного снижения продуктивности. Здесь еще более снижается, максимальны уровень работоспособности и падает волевое усилие;

•прекращение работы. Возбуждение сменяется вялостью.

Поскольку максимальная работоспособность осуществляется в периоды 2 и 3 фаз, один из путей создания оптимальных условий труда и повышения надежности системы человек - машина со стороны человеческого фактора - это отдаление периода утомляемости. Для этого можно использовать такие средства, как: смена способов работы. Например, обучение оператора производится с таким расчетом, чтобы он мог овладеть несколькими способами выполнения той или иной операции. Чередование труда и отдыха. В психологии и физиологии труда установлено, что эффективность труда повышается в том случае, если в течение смены устраивать короткие паузы. Наиболее эффективными являются перерывы, устраиваемые не тогда, когда начинает, уменьшается производительность труда, а при появлении нерегулярности и вариантности действий. Сюда же относится вопрос об активизации отдыха (о производственной гимнастике, о смене рабочих мест, о функциональной музыке и др.) Для отдыха должны быть представлены специальные зоны, соответствующие требованиям технической.


5. Охрана окружающей среды

В настоящее время – время бурного развития и внедрения, постоянно совершенствующихся и обновляющихся технологий производства конечной продукции – все больше внимания стало уделяться влиянию этих новых технологий на окружающую среду. Сейчас повсеместно открываются новые заводы и фабрики и никто не обращает внимание на экологическую зону, находящуюся под надзором этого предприятия. В результате чего следуют грубые нарушения правил природопользования со стороны предприятия, самое распространенное – загрязнение близлежащих водоемов и рек сбрасываемыми отходами производства. Эти действия уничтожают многих обитателей животного и растительного мира; загрязняют пресную воду нефтью и отходами нефтепродуктов, веществами органического и минерального происхождения; загрязняют почву токсичными веществами, золой, промышленными отходами, кислотами, соединениями тяжелых металлов и др. Также распространены случаи загрязнения атмосферы. Атмосфера загрязняется промышленными выбросами, содержащими оксиды серы, азота, углерода, углеводорода, частицы пыли. Такие случаи не единичны, поэтому все государства всерьез задумались о контроле соблюдения правил природопользования. Создаются специальные службы, комитеты и т.д. следящие за соблюдением правил природопользования со стороны предприятий. Поэтому новые проекты производства должны проходить экологическую экспертизу. Экологическая экспертиза - система комплексной проверки всех возможных экологических и социально-экономических последствий осуществления проектов и реконструкций, направленная на предотвращение их отрицательного влияния на окружающую среду и на решение намеченных задач с наименьшими затратами ресурсов.

Для развития и соблюдения правил природопользования, также применяется экологическое образование. Во многих учебных заведениях, в том числе и в нашем оно тоже существует. Вопросами развития окружающей среды занимается экология – наука о взаимоотношении живых организмов и среды их обитания. Рациональное решение экологических проблем возможно лишь при оптимальном взаимодействии природы и общества.

Исходя из такого положения вещей, производство продукции и сама продукция не должны никоим образом загрязнять окружающую среду. Поэтому на предприятиях используются разного рода очистные сооружения. Методика очистки промышленных выбросов по характеру протекания физико-химических процессов делят на 4 группы:

- промывка выбросов растворителями примесей (абсорбция);

- промывка выбросов растворами реагентов, связывающих примеси химически (хемосорбция);

- поглощение газообразных примесей твердыми активными веществами (адсорбция);

- термическая нейтрализация отходящих газов и поглощение примесей путем применения каталитического превращения.

При разработке светодиодной информационной панели были соблюдены правила природопользования. Данная конструкция не загрязняет окружающую среду в процессе своей работы. Устройство не излучает никаких вредных для здоровья человека и природы излучений. При производстве печатной платы необходимо соблюдать технику безопасности, тогда никаких вредных воздействий на окружающую среду и человека не будет. При разработке и создании корпуса использованы материалы, не влияющие на окружающую среду, т.к. устройство имеет малые габариты, то и материал, затрачиваемый на его изготовление, используется рационально и в малых количествах.


Заключение

В результате выполнения дипломного проекта мной были разработаны структурная и принципиальная схемы, на основе знаний полученных при изучении предметов специальности «Электронные вычислительные средства». При разработке дипломного проекта понадобились не только знания специальных предметов, таких как «Радиоэлектроника», «Теоретические основы электротехники», «Эксплуатация и ремонт ЭВС», «Периферийные устройства», «Конструирование ЭВС», но и некоторых общеобразовательных – «Черчение», «Охрана окружающей среды и энергосбережение», «Охрана труда», «Экономика».

В ходе проделанной работы были разработаны функциональные узлы панели и обеспечены взаимосвязи между ними..

Также хочу отметить, что спроектированное мной устройство идентификации близлежащих объектов для автомобиля позитивно обосновано со всех сторон жизненно необходимых факторов, экономической эффективности применения, материалосбережения, энергосбережения и конечно же эксплуатационных качеств.


Литература

1. Богданович А.И. Цифровые интегральные микросхемы: Справочник. – М.: 1996.

2. Верховцев О. Г. Практические советы мастеру-любителю по электротехнике и электронике. – Л. : Энергоатомиздат, 1984.

3. Кривицкий А.В. Порядок выполнения, состав и требования, предъявляемые к курсовому проекту: Учебное пособие для учащихся специальности Т0802. – Гомель: ГГДСТ, 1994.

4. Резисторы, конденсаторы, трансформаторы, дроссели,коммутационные устройства РЭА: Справочник / Н. Н. Акимов, Е. Л. Ващуков, В. А. Прохоренко, Ю. П. Ходоренок. - Мн: Беларусь, 1994.

5. Терещук Р.М. и др. Полупроводниковые приёмно-усилительные устройства: Справочник радиолюбителя. – Киев: «Наукова думка», 1989.

6. Цифровые интегральные микросхемы: Справочник. – Минск: «Беларусь»,1991.

7. Преснухин Л.Н. Расчет элементов цифровых устройств: Учебн. пособие. Л.Н. Преснухин, Н.В. Воробьев, А.А. Шишкевич; Под ред. Л.Н. Преснухина,- 2-е изд., перераб. и доп.-М.: Высш. шк.,1991.-526с.